Вопросы с меткой [system-verilog]

Ещё никто не добавил руководство по использованию для метки , но есть описание этой метки.

3 вопроса без принятого ответа или без ответа, за который были отданы голоса
Фильтрация
Сортировка
Метки
0 голосов
0 ответов
49 показов

Объявление метода класса в SystemVerilog

Всем привет! Мне нужен кейс, где класс объявляется внутри другого класса, и имеет какой-либо метод. Позже этот метод вызывается после классов непосредственно перед модулем class packet; class child; ...
Gwardios's user avatar
0 голосов
0 ответов
43 показа

Схема умножения на фиксированный многочлен на Verilog

Хочу реализовать быстрый умножитель на константный многочлен из книги. Вот схема По этой схеме я написал такой код на верилоге: module multiplier( input logic clk, input logic reset, ...
typemoon's user avatar
  • 2,517
0 голосов
1 ответ
66 показов

Не запускается время при симуляции modelsim

Разрабатываю простой модуль на systemverilog. В тестбенче для сигнала тактирования прописываю: always #25 clk_i = ~clk_i; В предыдущих программах все работало отлично, никаких ошибок и нареканий не ...
vivit36's user avatar