1

Пытаюсь разобраться с dpi в system verilog. Пока что, все что я нашел, это то что "все очень просто: импортируешь функцию в system verilog и используешь, как будто она была написана прямо на этом языке", но при этом в си-шном коде используются заголовочные файлы, которых точно нет в стандартном компиляторе.
Непонятно, где нужно писать сишный код так, чтобы САПР его увидел и вот всю вот эту кухню со стороны не написания кода, а подготовки рабочего пространства: что нужно дополнительно скачать, как настроить, что запустить?

1 ответ 1

1

Симуляторы, поддерживающие dpi содержат заголовочный файл "svdpi.h", и разделяемую библиотеку(ки), содержащую реализации функций, описанный в этом файле. Если программа на C -- простая, достаточно добавить в опции команды компиляции данный файл и симулятор сам скомпилирует этот файл. Если программа сложная (например использует сторонние библиотеки), лучше скомпилировать ее вручную, указав комплиятору путь к заголовочному файлу, а линковщику путь к библиотеке и саму библиотеку.

В конечном итоге, после компиляции (самостоятельно или с помощью симулятора), в опции запуска симуляции, необходимо добавить ключ -sv_lib и указать скомпилированную библиотеку.

Ваш ответ

By clicking “Отправить ответ”, you agree to our terms of service and acknowledge you have read our privacy policy.

Всё ещё ищете ответ? Посмотрите другие вопросы с метками или задайте свой вопрос.