0

Как можно переписать эту строчку так чтобы присвоить другое значение вида '10101101101...100110'

signal key1_in : std_logic_vector(0 to 63) := (others => '0');
2

2 ответа 2

1

Если Вы хотите присвоить значение сигналу на этапе инициализации, то так же и пишите, при условии, что однобитные значения в одинарных кавычках, а шины в двойных

signal key1_in : std_logic_vector(0 to 63) := "10101101101...100110";

0

Можете ваше число представить в десятичный вид и присвоить значение, пусть ваше число 1234, тогда Подключим библиотеку Use ieee.std_logic_arith.all

А наше присвоение будет

Signal key1_in:std_logic_vector(0 to 63):= conv_std_logic_vector(1234,64);

Ваш ответ

By clicking “Отправить ответ”, you agree to our terms of service and acknowledge you have read our privacy policy.

Всё ещё ищете ответ? Посмотрите другие вопросы с метками или задайте свой вопрос.