2

Есть достаточно большой массив STD_LOGIC_VECTOR (0 to 639). По входящему сигналу, необходимо брать следующие два элемента массива. Для этого я делаю примерно следующее:

counter := counter+1;
MyVar := Data((counter*2) to ((counter*2)+1));

но наталкиваюсь на ошибку:

Error (10394): VHDL error at module.vhd(227): left bound of range must be a constant

Есть идеи как заставить это работать?

Обновление 0.2:

На некотором форуме поступило предложение использовать побитовое присваивание каждого элемента массива каждому соответствующему элементу переменной.

MyVar(0) := Data(counter * 2);
MyVar(1) := Data(counter * 2 + 1);

При использовании 2битной переменной это работает, но что если нужно будет сделать 8-ми, или 16-ти битный срез? Проблему обошли, но не исправили, вопрос всё ещё открыт.

2 ответа 2

0

Первый вариант пришедший в голову: если длина используемого массива всегда разная, то придется создать переменную такого же размера и затем просто обращаться с конкретным элементам в дальнейшем:

signal buff : std_logic_vector(0 to 639);
...
myvar <= buff(16 to 22);
0

MyVar(0 to 1) := Data((counter*2) to ((counter*2)+1)) ;

Ваш ответ

By clicking “Отправить ответ”, you agree to our terms of service and acknowledge you have read our privacy policy.

Всё ещё ищете ответ? Посмотрите другие вопросы с метками или задайте свой вопрос.